Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. Features of Clash: Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. Higher-order functions, with type inference, result in designs that are fully parametric by default. Synchronous sequential circuit design based on streams of values, called Signals, lead to natural descriptions of feedback loops. Support for multiple clock domains, with type safe clock domain crossing. This package provides: Prelude library containing datatypes and functions for circuit design To use the library: Import Clash.Prelude Alternatively, if you want to explicitly route clock and reset ports, for more straightforward multi-clock designs, you can import the Clash.Explicit.Prelude module. Note that you should not import Clash.Prelude and Clash.Explicit.Prelude at the same time as they have overlapping definitions. A preliminary version of a tutorial can be found in Clash.Tutorial, for a general overview of the library you should however check out Clash.Prelude. Some circuit examples can be found in Clash.Examples.


Keywords
hardware, library, Propose Tags, Clash.Prelude, Clash.Explicit.Prelude, Clash.Tutorial, Clash.Examples, Skip to Readme, Index, Quick Jump, Clash.Annotations.BitRepresentation, Clash.Annotations.BitRepresentation.Deriving, Clash.Annotations.BitRepresentation.Internal, Clash.Annotations.BitRepresentation.Util, Clash.Annotations.Primitive, Clash.Annotations.SynthesisAttributes, Clash.Annotations.TH, Clash.Annotations.TopEntity, Clash.Class.AutoReg, Clash.Class.AutoReg.Internal, Clash.Class.BitPack, Clash.Class.BitPack.BitIndex, Clash.Class.BitPack.BitReduction, Clash.Class.BitPack.Internal, Clash.Class.BitPack.Internal.TH, Clash.Class.Counter, Clash.Class.Counter.Internal, Clash.Class.Counter.TH, Clash.Class.Exp, Clash.Class.HasDomain, Clash.Class.HasDomain.CodeGen, Clash.Class.HasDomain.Common, Clash.Class.HasDomain.HasSingleDomain, Clash.Class.HasDomain.HasSpecificDomain, Clash.Class.Num, Clash.Class.Parity, Clash.Class.Resize, Clash.Clocks, Clash.Examples.Internal, Clash.Explicit.BlockRam, Clash.Explicit.BlockRam.Blob, Clash.Explicit.BlockRam.File, Clash.Explicit.BlockRam.Internal, Clash.Explicit.BlockRam.Model, Clash.Explicit.DDR, Clash.Explicit.Mealy, Clash.Explicit.Moore, Clash.Explicit.Prelude.Safe, Clash.Explicit.RAM, Clash.Explicit.ROM, Clash.Explicit.ROM.Blob, Clash.Explicit.ROM.File, Clash.Explicit.Reset, Clash.Explicit.Signal, Clash.Explicit.Signal.Delayed, Clash.Explicit.SimIO, Clash.Explicit.Synchronizer, Clash.Explicit.Testbench, Clash.Explicit.Verification, Clash.HaskellPrelude, Clash.Hidden, Clash.Intel.ClockGen, Clash.Intel.DDR, Clash.Magic, Clash.NamedTypes, Clash.Num.Erroring, Clash.Num.Overflowing, Clash.Num.Saturating, Clash.Num.Wrapping, Clash.Num.Zeroing, Clash.Prelude.BlockRam, Clash.Prelude.BlockRam.Blob, Clash.Prelude.BlockRam.File, Clash.Prelude.DataFlow, Clash.Prelude.Mealy, Clash.Prelude.Moore, Clash.Prelude.RAM, Clash.Prelude.ROM, Clash.Prelude.ROM.Blob, Clash.Prelude.ROM.File, Clash.Prelude.Safe, Clash.Prelude.Testbench, Clash.Promoted.Nat, Clash.Promoted.Nat.Literals, Clash.Promoted.Nat.TH, Clash.Promoted.Nat.Unsafe, Clash.Promoted.Symbol, Clash.Signal, Clash.Signal.BiSignal, Clash.Signal.Bundle, Clash.Signal.Delayed, Clash.Signal.Delayed.Bundle, Clash.Signal.Delayed.Internal, Clash.Signal.Internal, Clash.Signal.Internal.Ambiguous, Clash.Signal.Trace, Clash.Sized.BitVector, Clash.Sized.Fixed, Clash.Sized.Index, Clash.Sized.Internal.BitVector, Clash.Sized.Internal.Index, Clash.Sized.Internal.Mod, Clash.Sized.Internal.Signed, Clash.Sized.Internal.Unsigned, Clash.Sized.RTree, Clash.Sized.Signed, Clash.Sized.Unsigned, Clash.Sized.Vector, Clash.Verification, Clash.Verification.DSL, Clash.Verification.Internal, Clash.XException, Clash.XException.Internal, Clash.XException.MaybeX, Clash.XException.TH, Clash.Xilinx.ClockGen, Clash.Xilinx.DDR, More info, clash-prelude-1.8.1.tar.gz, browse, Package description, Package maintainers, ChristiaanBaaij, QBayLogic, edit package information , 0.99.1, 0.99.2, 0.99.3, 0.9999, 1.0.0, 1.0.1, 1.1.0, 1.2.0, 1.2.1, 1.2.2, 1.2.3, 1.2.4, 1.2.5, 1.3.0, 1.4.0, 1.4.1, 1.4.2, 1.4.3, 1.4.4, 1.4.5, 1.4.6, 1.4.7, 1.5.0, 1.6.3, 1.6.4, 1.6.5, 1.6.6, 1.7.0, 1.8.0, 1.8.1, 1.9.0, clash-language+subscribe@googlegroups.com, forum
License
BSD-2-Clause
Install
cabal install clash-prelude-1.8.1

Documentation