Open source ecosystem for open FPGA boards


Keywords
apio, cli, fpga, icestorm, lattice, manager, package, python, verilog
License
GPL-3.0
Install
pip install apio==0.5.1

Documentation

PyPI Version Build Status License

       

Open source ecosystem for open FPGA boards

What is Apio?

Apio is a multiplatform toolbox with static pre-built packages to verify, synthesize, simulate and upload your verilog designs into the supported FPGA boards

What??????

Apio makes extremely easy the process of working with FPGAs. Go from scratch to having a blinky LED in your FPGA board in minutes! This is because it is based only on Free/Libre Open Source Software (FLOSS). Just install it and use it as you want

In this animation you can see the whole process of testing the Blinky led circuit: Just type one command and the circuit will be synthesized, and uploaded into the FPGA

Think of Apio as a small FPGA distribution, which collects and packages FLOSS toolchains for FPGAs. You can install packages in Linux, Mac and Windows for synthesizing hardware, verifying and simulating from verilog files

The goal is making it very easy to start with FPGAs

As the user gh02t said in this post on Hacker-news:

Apio is a command line tool that automates installing the toolchain for your FPGA and running it. It just simplifies things, you don't have to use it if you'd rather call the individual tools for synthesis, P&R, simulation etc. It'd be reasonable to think of it as akin to a very smart Makefile combined with an automatic package manager, specialized to FPGAs (it's based on PlatformIO). It's nice when you're still kind of getting oriented, because you don't need to know how to set up and invoke the different tools... just call apio build or apio simulate

Apio and higher level tools

Apio has a command line interface (CLI). It is the building block for other higher level tools, like Icestudio, Apio-IDE or working with FPGAs from IDEs such as Visual Studio Code

A circuit in Icestdio

A verilog circuit in VSCode

Documentation

Find all the information on this WIKI PAGE

Authors

Contributors

Credits

  • APIO was inspired by PlatformIO.

  • FPGAwars community has developed this project in a voluntary and altruistic way since 11/2016.

  • BQ sponsored this project from 02/2016 to 11/2016. Thanks.

License

Licensed under GPL 2.0 and Creative Commons Attribution-ShareAlike 4.0 International License.