Core Verification Stimulus and Coverage library


Keywords
SystemVerilog, Verilog, RTL, Python
License
Apache-2.0
Install
pip install libvsc==0.0.1.3625801070

Documentation

libvsc

libvsc provides an object-oriented framework for generating constrained-random data and capturing data-centric coverage. libvsc is used as a building block for implementing constrained-random stimulus-generation in various environments.

libvsc supports phased solving, where different portions of a larger constraint problem are incrementally resolved.